Welcome![Sign In][Sign Up]
Location:
Search - VERILOG QEP

Search list

[Other resourceqep

Description: 一个QEP电路的verilog代码。输入信号是光电编码器的A相和B相信号和一个处理时钟,输出的是计数信号和方向信号。
Platform: | Size: 1474 | Author: 张洁 | Hits:

[VHDL-FPGA-Verilogqep_data_bus

Description: 基于地址总线接口的四倍频编码器信号接口的 FPGA实现 Verilog HDL的-address bus interface based on the four frequency signal encoder interface FPGA Verilog HDL
Platform: | Size: 1187840 | Author: 孙卓君 | Hits:

[Other Embeded programqep

Description: 一个QEP电路的verilog代码。输入信号是光电编码器的A相和B相信号和一个处理时钟,输出的是计数信号和方向信号。-A QEP circuit Verilog code. Input signal is the optical encoder of the A phase and B and believe that a deal with the clock, the output is the count signal and direction signal.
Platform: | Size: 1024 | Author: 张洁 | Hits:

CodeBus www.codebus.net